Ny famakafakana baikon'ny rafitra CNC Frank, tongava avereno jerena.

G00 toerana
1. Format G00 X_ Z_ Ity baiko ity dia mamindra ny fitaovana avy amin'ny toerana misy azy mankany amin'ny toerana voatondron'ny baiko (amin'ny fomba fandrindrana tanteraka), na amin'ny halavirana iray (amin'ny fomba fandrindrana incremental).2. Fametrahana amin'ny endrika fanapahana tsy misy tsipika Ny famaritanay dia: mampiasa taham-pamokarana haingana tsy miankina mba hamaritana ny toeran'ny axis tsirairay.Ny lalan'ny fitaovana dia tsy tsipika mahitsy, ary ny famaky milina dia mijanona eo amin'ny toerana voatondron'ny baiko araka ny filaharan'ny fahatongavana.3. Fametrahana Linear Ny lalan'ny fitaovana dia mitovy amin'ny fanapahana tsipika (G01), fametrahana amin'ny toerana ilaina amin'ny fotoana fohy indrindra (tsy mihoatra ny tahan'ny traverse haingana amin'ny axis tsirairay).4. Ohatra N10 G0 X100 Z65
G01
1. Format G01 X(U)_ Z(W)_ F_ ;Ny interpolation linear dia miala amin'ny toerana misy ankehitriny mankany amin'ny toeran'ny baiko amin'ny tsipika mahitsy ary amin'ny tahan'ny hetsika omena baiko.X, Z: Ny fandrindrana tanteraka ny toerana tokony hafindra.U,W: Fandrindrana fanampiny amin'ny toerana hafindra.
2. Ohatra ① Fandaharana fandrindrana tanteraka G01 X50.Z75.F0.2 ;X100.;② Fandaharana fandrindrana fanampiny G01 U0.0 W-75.F0.2 ;U50.
Fanelanelanana boribory (G02, G03)
Format G02(G03) X(U)__Z(W)__I__K__F__ ;G02(G03) X(U)__Z(W)__R__F__ ;G02 – fihodin'ny famantaranandro (CW) G03 – mifanohitra amin'ny famantaranandro (CCW)X, Z – ao amin'ny rafitra fandrindrana Teboka farany U, W – ny elanelana misy eo amin'ny teboka fiaingana sy ny teboka farany I, K – ny vector (sarovan'ny salan'isa) avy amin'ny teboka fanombohana mankany amin'ny teboka afovoany R - ny arc range (maximum 180 degrees).2. Ohatra ① Programa rafitra fandrindrana tanteraka G02 X100.Z90.I50.K0.F0.2 na G02 X100.Z90.R50.F02;② Programa rafitra fandrindrana fanampiny G02 U20.W-30.I50.K0.F0.2 ;na G02 U20.W-30.R50.F0.2;
Famerenana fiaviana faharoa (G30)
Ny rafitra fandrindrana dia azo apetraka miaraka amin'ny fiasa fiaviana faharoa.1. Mametraha ny koordinate amin'ny toerana fiaingan'ny fitaovana miaraka amin'ny mari-pamantarana (a, b).Ny teboka "a" sy "b" dia ny elanelana misy eo amin'ny fiavian'ny milina sy ny toerana niaingan'ny fitaovana.2. Rehefa manao fandaharana dia ampiasao ny baiko G30 fa tsy G50 mba hametrahana ny rafitra fandrindrana.3. Aorian'ny fanatanterahana ny fiverenana amin'ny fiaviana voalohany, na inona na inona toerana tena misy ilay fitaovana, dia hifindra any amin'ny fiaviana faharoa ilay fitaovana rehefa sendra io baiko io.4. Ny fanoloana fitaovana koa dia atao amin'ny fiaviana faharoa.
Fanapahana kofehy (G32)
1. Format G32 X(U)__Z(W)__F__ ;G32 X(U)__Z(W)__E__ ;F - firafitra kofehy E - kofehy kofehy (mm) Rehefa manomana ny fandaharana fanapahana kofehy, ny RPM ny hafainganam-pandehan'ny spindle dia tokony ho fehezin'ny mitovy asa (G97), ary ny sasany toetra mampiavaka ny threaded ampahany tokony hodinihina.Ny fanaraha-maso ny hafainganam-pandehan'ny hetsika sy ny asa fanaraha-maso ny hafainganam-pandehan'ny spindle dia tsy horaharahaina amin'ny fomba fanapahana kofehy.Ary rehefa miasa ny bokotra fitazonana sakafo dia mijanona ny fizotrany rehefa vita ny tsingerin'ny fanapahana.

2. Ohatra G00 X29.4;(1 tsingerina fanapahana) G32 Z-23.F0.2;G00 X32;Z4.;x29.;(2 tsingerina fanapahana) G32 Z-23.F0.2;G00 X32.;Z4 .
Fampiasana offset savaivony fitaovana (G40/G41/G42)
1. Format G41 X_ Z_;G42 X_ Z_;
Rehefa maranitra ny sisin'ny fanapahana dia manaraka ny endrika voatondron'ny fandaharana tsy misy olana ny fizotran'ny fanapahana.Na izany aza, ny sisin'ny fitaovana tena izy dia miforona amin'ny arc boribory (radius orona fitaovana).Araka ny aseho eo amin'ny sary etsy ambony, ny radius orona fitaovana dia hiteraka hadisoana amin'ny trangan'ny interpolation boribory sy ny fipihana.

2. Fiaraha-miasa
baiko fanapahana toerana toolpath
Ny G40 dia manafoana ny fihetsehan'ny fitaovana araka ny lalana nomanina
G41 havanana Ny fitaovana dia miala amin'ny ilany havia amin'ny lalana voarindra
G42 Ankavia Ny fitaovana dia miala amin'ny ilany havanana amin'ny lalana voarindra
Ny fitsipiky ny fanonerana dia miankina amin'ny hetsika ny afovoan'ny fitaovana orona Arc, izay tsy mifanojo foana amin'ny radius vector amin'ny lalana ara-dalàna ny fanapahana ambonin'ny.Noho izany, ny teboka fanonerana dia ny foibe orona fitaovana.Amin'ny ankapobeny, ny fanonerana ny halavan'ny fitaovana sy ny radius orona fitaovana dia mifototra amin'ny sisiny fanapahana an-tsaina, izay miteraka fahasarotana amin'ny fandrefesana.Amin'ny fampiharana io fitsipika io amin'ny fanonerana ny fitaovana, ny halavan'ny fitaovana, ny tadin'ny orona R ary ny laharan'ny orona fitaovana (0-9) takiana amin'ny fanonerana ny tadin'ny orona fitaovana an-tsaina dia tokony horefesina amin'ny teboka X sy Z tsirairay avy.Ireo dia tokony hampidirina mialoha ao amin'ny rakitra offset fitaovana.
"Tool nose radius offset" dia tokony hobaikoana na hofoanana amin'ny fiasa G00 na G01.Na miaraka amin'ny interpolation boribory io baiko io na tsia, dia tsy hivezivezy tsara ilay fitaovana, ka mahatonga azy hivily tsikelikely amin'ny lalana efa vita.Noho izany, ny baikon'ny orona radius offset fitaovana dia tokony ho vita alohan'ny hanombohan'ny dingana fanapahana;ary ny fisehoan-javatra tafahoatra vokatry ny fanombohana ny fitaovana avy any ivelan'ny workpiece dia azo sorohina.Mifanohitra amin'izany, aorian'ny fizotry ny fanapahana, ampiasao ny baiko mihetsika mba hanatanterahana ny dingana fanafoanana ny offset
Fifantenana rafitra fandrindrana asa (G54-G59)
1. Format G54 X_ Z_;2. Ny fiasa dia mampiasa baiko G54 - G59 mba hanendry teboka tsy misy dikany ao amin'ny rafi-pandrindrana fitaovana milina (ny sanda offset fiavian'ny workpiece) amin'ny masontsivana 1221 - 1226, ary mametraka ny rafitra fandrindrana ny workpiece (1-6).Ity mari-pamantarana ity dia mifanandrify amin'ny kaody G toy izao manaraka izao: Rafitra fandrindrana workpiece 1 (G54) — sanda offset fiverenana fiaviana workpiece — Parameter 1221 Rafitra fandrindrana workpiece 2 (G55) — sanda offset fiverenana fiaviana workpiece — Rafitra fandrindrana workpiece 1222 3 (G56) — sanda offset fiverenana fiaviana workpiece — paramètre 1223 rafitra fandrindrana workpiece 4 (G57) — sanda offset fiverenana fiaviana workpiece — paramètre 1224 rafitra fandrindrana workpiece 5 (G58 ) — sanda offset ny fiverenana fiaviana workpiece — Parameter 1225 Rafitra fandrindrana workpiece 6 (G59) — Offset sandan'ny fiverenan'ny niandohan'ny workpiece - Parameter 1226 Rehefa mandeha ny herinaratra ary vita ny fiverenana niandohany, dia mifidy ho azy ny rafitra fandrindrana Workpiece 1 (G54).Ireo fandrindrana ireo dia mbola manan-kery mandra-piovan'ny baiko "modal".Ho fanampin'ireo dingana fametrahana ireo dia misy paramètre iray hafa ao amin'ny rafitra izay afaka manova avy hatrany ny masontsivana G54~G59.Ny sandan'ny offset fiaviana ivelan'ny workpiece dia azo afindra miaraka amin'ny paramètre No. 1220.
Tsingerin'ny famaranana (G70)
1. Format G70 P(ns) Q(nf) ns: Ny laharana voalohany amin'ny fandaharana endrika famaranana.nf: Ny laharan'ny fizarana farany amin'ny programan'ny endrika famaranana 2. Fampiasana Rehefa avy mihodinkodina mafy amin'ny G71, G72 na G73, farano amin'ny G70.
Fiara masiaka am-bifotsy ao anaty zaridaina ivelany (G71)
1. Format G71U(△d)R(e)G71P(ns)Q(nf)U(△u)W(△w)F(f)S(s)T(t)N(ns)……… … .F__ dia mamaritra ny baikon'ny hetsika eo amin'ny A sy B ao amin'ny fizarana fandaharana manomboka amin'ny laharana ns mankany nf..S__.T__N(nf)…△d: Ny halalin'ny fanapahana (famaritana radius) dia tsy mamaritra ny famantarana tsara sy ratsy.Ny fitarihana fanapahana dia voafaritra araka ny fitarihan'ny AA', ary tsy hiova izany raha tsy misy sanda hafa voatondro.Fanuc system parameter (NO.0717) mamaritra.e: Dikan'ny fanafoanana ny fitaovana Ity fanondroana ity dia fepetram-panjakana, ary tsy hiova izany raha tsy misy sanda hafa voatondro.Fanuc system parameter (NO.0718).ns: Ny laharan'ny fizarana voalohany amin'ny programa endrika famaranana.nf: Ny laharan'ny fizarana farany amin'ny fandaharana endrika famaranana.△u: Ny halavirana sy ny fizotry ny tahiry amin'ny famitana ny milina amin'ny lalana X.(savaivony/radius) △w: ny halaviran-dalana sy ny fizotry ny vola voatokana ho an'ny fanamboarana milina amin'ny lalana Z.
2. Fampiasana Raha mampiasa ny programa ianao hamaritana ny endriky ny famaranana manomboka amin'ny A ka hatramin'ny A' mankany B amin'ny sary etsy ambany, ampiasao ny △d (halalin'ny fanapahana) hanapahana ny faritra voatondro, ary avelao ny taham-pahavitrihana △u/2 sy △ w.

Fihodinana am-bifotsy ny tarehy (G72)
1. Format G72W(△d)R(e) G72P(ns)Q(nf)U(△u)W(△w)F(f)S(s)T(t) △t,e,ns,nf , △u, △w, f, s ary t dia mitovy hevitra amin'ny G71.2. Fampiasana Araka ny asehon'ny sary etsy ambany, ity tsingerina ity dia mitovy amin'ny G71 afa-tsy hoe mifanitsy amin'ny axe X.
Mamorona tsingerin-tambajotra fanodinana (G73)
1. Format G73U(△i)W(△k)R(d)G73P(ns)Q(nf)U(△u)W(△w)F(f)S(s)T(t)N(ns )…………………… Laharana sakana N(nf) manaraka ny A A' B……△i: Ny halaviran'ny fitaovana amin'ny lalana X-axis (famaritana ny taratra), voafaritry ny mari-pamantarana rafitra FANUC (NO.0719).△k: Ny halaviran'ny fitaovana amin'ny lalana Z-axis (voatondro amin'ny radius), voafaritry ny rafitra FANUC (NO.0720).d: Fizarana fotoana Ity sanda ity dia mitovy amin'ny fotoana famerimberenan'ny milina henjana, voafaritry ny rafitra FANUC (NO.0719).ns: Ny laharan'ny fizarana voalohany amin'ny programa endrika famaranana.nf: Ny laharan'ny fizarana farany amin'ny fandaharana endrika famaranana.△u: Ny halavirana sy ny fizotry ny tahiry amin'ny famitana ny milina amin'ny lalana X.(savaivony/radius) △w: ny halaviran-dalana sy ny fizotry ny vola voatokana ho an'ny fanamboarana milina amin'ny lalana Z.
2. Function Ity fiasa ity dia ampiasaina hanapahana imbetsaka ny endrika raikitra miova tsikelikely.Ity tsingerina ity dia afaka manapaka tsara aCNC machining faritraSYFizarana fihodinana CNCizay nokarakaraina tamin'ny machining na fanariana.
Ny fihodinan'ny fandavahana face (G74)
1. Format G74 R(e);G74 X(u) Z(w) P(△i) Q(△k) R(△d) F(f) e: Vola mihemotra Ity fanendrena ity dia ny fanendrena ny sata, amin'ny sanda hafa dia tsy ovaina raha tsy voafaritra.Fanuc system parameter (NO.0722) mamaritra.x: Fandrindrana X amin'ny teboka B u: fisondrotana manomboka amin'ny a mankany bz: Z fandrindrana amin'ny teboka cw: fisondrotana avy amin'ny A mankany C △i: habetsan'ny hetsika amin'ny lalana X △k: habetsan'ny hetsika amin'ny lalana Z △d: amin'ny habetsahan'ny ny fitaovana retracts eo amin`ny farany ambany ny tapaka.Ny mariky ny △d dia tsy maintsy (+).Na izany aza, raha tsy misy X (U) sy △I, dia azo faritana miaraka amin'ny famantarana irina ny sandan'ny fanalana fitaovana.f: Ny tahan'ny sakafo: 2. Fampiasana Araka ny asehon'ny sary etsy ambany, ny fanapahana dia azo atao amin'ity tsingerina ity.Raha tsy misy X (U) sy P, dia amin'ny Z axis ihany no hanaovana ny fandidiana, izay ampiasaina amin'ny fandavahana.
Savaivony ivelany / savaivony anatiny tsingerin'ny fandavahana (G75)
1. Format G75 R(e);G75 X(u) Z(w) P(△i) Q(△k) R(△d) F(f) 2. Function Ireto baiko manaraka ireto dia miasa araka ny asehon'ny sary etsy ambany, afa-tsy ny X mampiasa Z fa tsy ivelany dia ny g74.Amin'ity tsingerina ity, ny fanapahana dia azo fehezina, ary ny X-axis cutting groove sy ny X-axis pecking drilling dia azo atao.
Tsingerina fanapahana kofehy (G76)
1. Format G76 P(m)(r)(a) Q(△dmin) R(d)G76 X(u) Z(w) R(i) P(k) Q(△d) F(f)m : Famaranana ny fotoana famerimberenana (1 ka hatramin'ny 99) Fanondroana sata io anarana io, ary tsy hiova raha tsy misy sanda hafa voatondro.Fanuc system parameter (NO.0723).r: zoro amin'ny zoro Ity fanondroana ity dia famaritana fanjakana, ary tsy hiova izany raha tsy misy sanda hafa voatondro.Fanuc system parameter (NO.0109).a: Ny zoro orona fitaovana: 80 degre, 60 degre, 55 degre, 30 degre, 29 degre, 0 degre dia azo fidina, voafaritra amin'ny isa 2.Ity fanendrena ity dia fanendrena sata ary tsy hiova raha tsy misy sanda hafa voatondro.Fanuc system parameter (NO.0724) mamaritra.Toy ny: P (02/m, 12/r, 60/a) △dmin: ny halalin'ny fanapahana ambany indrindra Ity fepetra ity dia fepetram-panjakana, ary tsy hiova izany raha tsy misy sanda hafa voatondro.Fanuc system parameter (NO.0726) mamaritra.i: Ny fahasamihafan'ny tadin'ny ampahany misy kofehy Raha i = 0, dia azo ampiasaina amin'ny fanapahana kofehy amin'ny ankapobeny.k: Haavon'ny kofehy Ity sanda ity dia voafaritra miaraka amin'ny sanda radius amin'ny tari-dalana X-axis.△d: halalin'ny fanapahana voalohany (sarobidy) l: firaka kofehy (miaraka amin'ny G32)

2. Functional kofehy fanapahana tsingerina.
Ny tsingerin'ny fanapahana ho an'ny savaivony anatiny sy ivelany (G90)
1. Format Linear fanapahana tsingerina: G90 X(U)___Z(W)___F___;Tsindrio ny switch mba hiditra amin'ny fomba sakana tokana, ary ny fandidiana dia mamita ny fampandehanana tsingerin'ny lalana 1→2→3→4 araka ny aseho amin'ny sary.Ny famantarana (+/-) an'ny U sy W dia ovaina araka ny torolalan'ny 1 sy 2 ao amin'ny programa fandrindrana fanampiny.Tsingerin'ny fanapahana kesika: G90 X(U)___Z(W)___R___F___ ;Ny sandan'ny "R" amin'ny kônina dia tsy maintsy voafaritra.Ny fampiasana ny asa fanapahana dia mitovy amin'ny tsingerin'ny fanapahana linear.
2. Miasa manodidina ny fihodinana fanapahana boribory.1. U<0, W<0, R<02.U>0, W<0, R>03.U<0, W<0, R>04.U>0, W<0, R<0
Tsingerina fanapahana kofehy (G92)
1. Mamolavola tsingerina fanapahana kofehy mahitsy: G92 X(U)___Z(W)___F___;Ny elanelana sy ny spindle RPM stabilization fanaraha-maso (G97) dia mitovy amin'ny G32 (kofehy fanapahana).Amin'ity tsingerin'ny fanapahana kofehy ity, dia azo ampiasaina toy ny [Fig.9-9];ny halavan'ny chamfer dia napetraka ho vondrona 0.1L ao anatin'ny 0.1L ~ 12.7L araka ny mari-pamantarana voatondro.Tapered kofehy fanapahana tsingerina: G92 X(U)___Z(W)___R___F___;2. Function kofehy fanapahana tsingerin'ny
Dingana fanapahana tsingerina (G94)
1. Endrika tsingerin'ny fanapahana Terrace: G94 X(U)___Z(W)___F___;Taper dingana fanapahana tsingerin'ny: G94 X(U)___Z(W)___R___F___;2. Fampiasana dingana fanapahana Linear hafainganam-pandeha fanaraha-maso (G96, G97)
Ny lathe NC dia mizara ny hafainganam-pandeha, ohatra, faritra ambany sy haingam-pandeha amin'ny alàlan'ny fanitsiana ny dingana sy fanovana ny RPM;azo ovaina malalaka ny hafainganam-pandeha isaky ny faritra.Ny asan'ny G96 dia ny fanaraha-maso ny hafainganam-pandehan'ny tsipika ary mitazona ny taham-pamokarana maharitra amin'ny alàlan'ny fanovana ny RPM mba hifehezana ny fiovan'ny savaivony mifanaraka amin'izany.Ny asan'ny G97 dia ny manafoana ny fanaraha-maso ny hafainganam-pandeha ary mifehy ny fahamarinan'ny RPM ihany.
Fametrahana fifindran-toerana (G98/G99)
Ny fifindran'ny fanapahana dia azo omena fifindran'ny isa-minitra (mm / min) miaraka amin'ny kaody G98, na ny fifindran'ny revolisiona (mm / rev) miaraka amin'ny kaody G99;Eto ny fifindran'ny G99 isaky ny revolisiona dia ampiasaina amin'ny fandaharana amin'ny lathe NC.tahan'ny dia isa-minitra (mm/min) = tahan'ny fifindra-monina isaky ny revolisiona (mm/rev) x Spindle RPM

Toromarika maro izay matetika ampiasaina amin'ny foibe machining dia mitovy amin'nyCNC machining faritra, Fizarana fihodinana CNCSYCNC Milling faritra, ary tsy holazaina eto.Ireto manaraka ireto ihany no mampiditra toromarika sasantsasany maneho ny toetran'ny foibe machining:

1. baiko fisavana fijanonana marina G09
Fomba fampianarana: G09;
Ny fitaovana dia hanohy ny fanatanterahana ny fizarana fandaharana manaraka aorian'ny fampihenana sy ny fametrahana araka ny tokony ho izy alohan'ny hahatongavana amin'ny teboka farany, izay azo ampiasaina amin'ny fametahana kojakoja misy sisiny maranitra sy zorony.
2. Ny baikon'ny fametrahana offset G10
Fomba fampianarana: G10P_R_;
P: laharana offset baiko;R: offset
Ny offset fitaovana dia azo apetraka amin'ny alàlan'ny fametrahana programa.
3. Fibaikoana tokana G60
Fomba fampianarana: G60 X_Y_Z_;
X, Y, ary Z no koordinate amin'ny teboka farany mila hahazoana toerana marina.
Ho an'ny fanodinana lavaka izay mitaky fametrahana mazava tsara, ampiasao ity baiko ity mba ahafahan'ny fitaovana milina mahatratra ny toerana tokana, amin'izany dia manafoana ny fahadisoana amin'ny machining vokatry ny backlash.Ny tari-dàlana fametrahana sy ny habetsahan'ny overshoot dia apetraka amin'ny mason-tsivana.
4. Fiatoana fanaraha-maso marina baiko G61
Fomba fampianarana: G61;
Ity baiko ity dia baiko modal, ary amin'ny fomba G61, dia mitovy amin'ny bloc program rehetra misy baiko G09.
5. Mitohy fanapahana fomba baiko G64
Fomba fampianarana: G64;
Ity torolalana ity dia torolàlana modal, ary io ihany koa ny toetran'ny fitaovana milina.Aorian'ny fifindran'ny fitaovana mankany amin'ny teboka faran'ny fampianarana, dia hanohy ny fanatanterahana ny sakana manaraka tsy misy fihenam-bidy, ary tsy hisy fiantraikany amin'ny fametrahana na fanamarinana ao amin'ny G00, G60, ary G09.Rehefa manafoana ny fomba G61 Ampiasao ny G64.
6. Ny baikon'ny reference point return G27, G28, G29
(1) Miverena amin'ny baiko fanamarinana G27
Fomba fampianarana: G27;
X, Y, ary Z dia ny soatoavina mandrindra ny teboka fanondro ao amin'ny rafi-pandrindrana workpiece, izay azo ampiasaina hanamarinana raha azo apetraka eo amin'ny teboka fanondroana ilay fitaovana.
Eo ambanin'io toromarika io dia miverina any amin'ny teboka fanondro miaraka amin'ny fihetsehana haingana ny axe voabaiko, mihemotra ho azy ary manao fisavana toerana amin'ny sanda mandrindra voatondro.Raha apetraka ny teboka fanondroana dia mirehitra ny jiro famantarana ny axis;raha tsy mifanaraka dia hijery indray ny programa..
(2) baikon'ny reference point return G28
Fomba fampianarana: G28 X_Y_Z_;
X, Y, ary Z no koordinate amin'ny teboka afovoany, izay azo apetraka tsy misy dikany.Mifindra amin'io toerana io aloha ny fitaovan'ny milina, ary miverina any amin'ny teboka fanondroana.
Ny tanjon'ny fametrahana ny teboka manelanelana dia ny fisorohana ny fitaovana tsy hanelingelina ny workpiece na ny fitaovana rehefa miverina amin'ny teboka fanondroana.
Ohatra: N1 G90 X100.0 Y200.0 Z300.0
N2 G28 X400.0 Y500.0;(ny teboka afovoany dia 400.0.500.0)
N3 G28 Z600.0;(ny teboka afovoany dia 400.0, 500.0, 600.0)
(3) Miverena ho azy avy amin'ny teboka fanondro mankany G29
Fomba fampianarana: G29 X_Y_Z_;
X, Y, Z dia ny fandrindrana teboka farany miverina
Mandritra ny fizotran'ny fiverenana, ny fitaovana dia mifindra avy amin'ny toerana rehetra mankany amin'ny teboka manelanelana voafaritry ny G28, ary avy eo dia mihetsika mankany amin'ny teboka farany.Ny G28 sy G29 dia matetika ampiasaina tsiroaroa, ary ny G28 sy G00 dia azo ampiasaina tsiroaroa ihany koa.


Fotoana fandefasana: Jan-02-2023
WhatsApp Chat an-tserasera!